PDF de programación - Introducción a los lenguajes de descripción de hardware

Imágen de pdf Introducción a los lenguajes de descripción de hardware

Introducción a los lenguajes de descripción de hardwaregráfica de visualizaciones

Publicado el 20 de Agosto del 2019
686 visualizaciones desde el 20 de Agosto del 2019
372,1 KB
34 paginas
Creado hace 11a (01/10/2012)
ió G d

Tit l
Titulación: Grado en Ingeniería de Computadores

t d
Asignatura: Tecnología de Computadores

I

i

í d C

Bloque 1: Introducción
Tema 3: Introducción a los lenguajes de descripción 
de hardware

Pablo Huerta Pellitero
ab o ue a e
e o

ÍNDICE

Introducción a los lenguajes de descripción de hardware

bl

• Bibliografía

• Herramientas de diseño de circuitos digitales



Lenguajes de descripción de hardware
Lenguajes de descripción de hardware
El lenguaje VHDL

2

BIBILIOGRAFÍA

Introducción a los lenguajes de descripción de hardware





d

l

l d “

F. Pardo, J.A Boluda, “VHDL, lenguaje para síntesis y modelado de 
circuitos”, editorial Ra‐Ma
Ll. Terés, Y. Torroja, S. Olcoz E. Villar, “VHDL, lenguaje estándar de diseño 
,
electrónico”, editorial MacGraw‐Hill

d l d d

í

,

g

j

,

j ,

3

HERRAMIENTAS DE DISEÑO DE
CIRCUITOS DIGITALES
CIRCUITOS DIGITALES

Introducción a los lenguajes de descripción de hardware







d

d

d

l

h

d d

Existen herramientas de diseño asistido por ordenador que facilitan el
l
f
trabajo en distintas fases del flujo de diseño de circuitos digitales.
Simuladores lógicos.
Herramientas de síntesis.
Herramientas de verificación.
. . .
En esta asignatura utilizaremos herramientas de simulación de circuitos
digitales, que nos permitirán comprobar el correcto funcionamiento de los
circuitos que diseñemos.
Las herramientas de simulación necesitan que se les proporcione la
información de cómo es el circuito en un formato apropiado:
información de cómo es el circuito en un formato apropiado:
Esquemático.
Netlist.
En algún lenguaje de descripción de hardware.

ió d h d

j d d

i

l ú l

4

LENGUAJES DE DESCRIPCIÓN DE HARDWARE

Introducción a los lenguajes de descripción de hardware

• Actualmente,

l

l

ó

d d

d h d

l
á
los lenguajes de descripción de hardware (HDLs) están
sustituyendo casi por completo a los diseños con captura de esquemas
debido a que:
Son estándares
Son reutilizables entre herramientas
Tienen las mismas funciones que los lenguajes de programación
Tienen las mismas funciones que los lenguajes de programación
Permiten verificar la corrección de los diseños con el propio lenguaje

(

)

• Además de cómo punto de entrada para las herramientas de simulación,
los HDLs también se pueden usar como entrada para herramientas de
síntesis si el circuito se describe de forma apropiada.
Existen diversos HDLs (VHDL, Verilog, SystemC, ABEL, . . .), siendo los dos
Existen diversos HDLs (VHDL, Verilog, SystemC, ABEL, . . .), siendo los dos
más populares VHDL y Verilog.



5

EL LENGUAJE VHDL

Introducción a los lenguajes de descripción de hardware

• VHDL: VHSIC Hardware Description Language

• VHSIC Very High Speed Integrated Circuits
• VHSIC = Very High Speed Integrated Circuits

Lenguaje desarrollado a principios de los 80 para el DoD
• Descripción y modelado de sistemas electrónicos digitales


Independiente de la tecnología de destino de la materialización

Estándar en 1987 – IEEE STd. 1076 – versiones VHDL‐87 y VHDL‐93
Lenguaje formal para la descripción de sistemas digitales a distintos niveles de

abstracción independiente de la materialización

Utilización:
p

• Descripción
• Simulación
• Documentación

Características:
Características:

• Descripción a distintos niveles de abstracción
• Simulación activada por eventos
• Modular y jerárquico
Modular y jerárquico
• Fuertemente tipado – descendiente directo de ADA

6

EL LENGUAJE VHDL

Introducción a los lenguajes de descripción de hardware





La descripción de circuitos digitales en VHDL se puede hacer a distintos
niveles de abstracción:
Descripción estructural: se describe la estructura de módulos, no el

funcionamiento o el comportamiento.
u c o a
e to

e to o e co po ta

Descripción conductual: se describe el comportamiento o la función que

realiza el sistema y no su materialización estructural.

Descripciones mixtas: se describen comportamientos y estructuras
Descripciones mixtas: se describen comportamientos y estructuras.

Tipos de datos:
Todas las señales internas y externas de un circuito descrito en VHDL tienen

que pertenecer a algún tipo de datos.
Existen muchos tipos de datos en VHDL, pero por ahora sólo usaremos dos:
Existen muchos tipos de datos en VHDL, pero por ahora sólo usaremos dos:

• std_logic: representa 1 bit.
• std_logic_vector: representa un vector de varios bits. El tamaño del vector se indica

a la hora de declarar alguna señal de ese tipo.
a la hora de declarar alguna señal de ese tipo.

7

EL LENGUAJE VHDL

Introducción a los lenguajes de descripción de hardware

• Archivos VHDL: los archivos que contienen código VHDL tienen una serie

de características:
Son archivos de texto plano, que se pueden editar con cualquier editor como

dit

dit

l

hi

d
S
Bloc de Notas, NotePad++, Vi, Emacs, . . .

d t

t

l

i

Tienen extensión .vhd o .vhdl
Dentro de un mismo archivo pueden describirse varios circuitos, o se puede

emplear un archivo diferente para cada circuito que se quiera describir.

g

Estructura general de un archivo VHDL:
Aunque hay muchas formas de organizar el código VHDL de los circuitos que
se diseñan, nosotros vamos a tratar de utilizar siempre la siguiente estructura:



-- Librerías que se van a utilizar
. . .
. . .
-- Declaración de la entidad del circuito
. . .
. . .
-- Arquitectura del circuito
. . .
. . .

8

EL LENGUAJE VHDL: LIBRERÍAS

Introducción a los lenguajes de descripción de hardware

l b

b bl

Las librerías o bibliotecas incluyen tipos de datos, componentes ya
hechos, funciones, etc, que podemos usar en nuestros diseños.
Para usar una librería se utiliza la siguiente sintaxis:

d

d

l

g

í





library nombre_libreria;
use nombre_paquete_1;
use nombre_paquete_2;
...

La librería que utilizaremos habitualmente será la ieee, y dentro de ella el

paquete ieee.std_logic_1164.all

Si se necesita utilizar alguna operación aritmética (suma, resta, . . . ) será
y

ieee.std_logic_arith.all

paquetes

utlizar

los

también
ieee.std_logic_unsigned.all

necesario

Existe también una librería llamada Work que es en la que se van

almacenando nuestros propios diseños.

p p

9

EL LENGUAJE VHDL: ENTIDAD

Introducción a los lenguajes de descripción de hardware





)

l

d d (

La entidad (entity) es la construcción del
l
lenguaje VHDL que permite
ó d l
definir el interfaz que tiene un circuito, es decir que entradas y que salidas
tiene el circuito.
Las entradas y salidas de un circuito reciben en VHDL el nombre de port.

entity NombreDeLaEntidad is

port (nombre_puerto_1: clase tipo;
nombre_puerto_2: clase tipo;
. . .
nombre puerto _n: clase tipo );

end NombreDeLaEntidad;

La clase puede ser de entrada (in) o
de salida (out). Hay otras clases, pero
no las usaremos aún.

El tipo puede ser cualquier tipo de datos
de VHDL. En nuestro caso sólo usaremos
std_logic y std_logic_vector.

_ g y

_ g _

10

EL LENGUAJE VHDL: ARQUITECTURA

Introducción a los lenguajes de descripción de hardware







l

d

b

f

d l

d f

d d

interfaz del circuito. Para describir el
l
La entidad solamente define el
l
funcionamiento se utiliza otra construcción del
lenguaje que es la
arquitectura (architecture). Una arquitectura por si sola no representa
nada, tiene que ir asociada a una entidad, además una entidad puede
tener asociadas varias arquitecturas que describen el circuito de distintas
maneras o con distintos niveles de abstracción.
La sintaxis básica de la arquitectura es la siguiente:

architecture NombreDeLaArquitectura of UnaEntidad is
Zona de declaraciones
-- Zona de declaraciones
begin
-- Cuerpo de la arquitectura
end NombreDeLaArquitectura;

El tipo de sentencias que se pueden utilizar dentro de la zona de
declaraciones y del cuerpo de la arquitectura es muy variado y lo iremos
introduciendo con ejemplos en los temas siguientes.

11

EL LENGUAJE VHDL: SEÑALES

Introducción a los lenguajes de descripción de hardware

l

l

l





d

ñ l

d l l

señales

• Concepto de señal: las señales son un elemento del lenguaje que permite
modelar nodos dentro un circuito (cables que unen partes internas de un
circuito).
Las
se pueden leer y se pueden escribir, y tienen un
comportamiento especial cuando se usan en determinados bloques que
estudiaremos más adelante
estudiaremos más adelante.
Los puertos de una entidad se comportan como señales, pero con una
excepción:
Los puertos de entrada sólo se pueden leer.
Los puertos de salida sólo se pueden escribir.
• Para añadir señales internas a una arquitectura hay que declararlas en la
Para añadir señales internas a una arquitectura hay que declararlas en la
zona de declaraciones de la arquitectura, utilizando la siguiente sintaxis:

signal nombre senyal is tipo;
p ;

g

y

_

12

EL LENGUAJE VHDL: ELEMENTOS SINTÁCTICOS

Introducción a los lenguajes de descripción de hardware

• Comentarios: cualquier línea que empieza por dos guiones. El compilador

l d

d



l

l

ignora las líneas que están comentadas.
-- Esto es un comentario
Identificadores: se utilizan para dar nombre a módulos, señales, etc. No
distinguen mayúsculas de minúsculas.



• Valores de un bit: se representan entre comillas simples.

bi

ill

d

l

i

l

‘1’ --esto es un bit con valor 1
‘0’ --esto es un bit con valor 0

• Cadenas de bits: se representan entre comillas dobles. Pueden llevar un
prefijo que indica la base en que está representado. Si no se pone nada se
supone que está en binario
supone que está en binario.
“011011” --esto es una cadena binaria de bits
O“12307” --esto es una cadena de bits en octal
X“12AB”
esto es na cadena de bits en he adecimal
X“12AB” --esto es una cadena de bits en hexadecimal

13

EL L
  • Links de descarga
http://lwp-l.com/pdf16483

Comentarios de: Introducción a los lenguajes de descripción de hardware (0)


No hay comentarios
 

Comentar...

Nombre
Correo (no se visualiza en la web)
Valoración
Comentarios...
CerrarCerrar
CerrarCerrar
Cerrar

Tienes que ser un usuario registrado para poder insertar imágenes, archivos y/o videos.

Puedes registrarte o validarte desde aquí.

Codigo
Negrita
Subrayado
Tachado
Cursiva
Insertar enlace
Imagen externa
Emoticon
Tabular
Centrar
Titulo
Linea
Disminuir
Aumentar
Vista preliminar
sonreir
dientes
lengua
guiño
enfadado
confundido
llorar
avergonzado
sorprendido
triste
sol
estrella
jarra
camara
taza de cafe
email
beso
bombilla
amor
mal
bien
Es necesario revisar y aceptar las políticas de privacidad