Circuitos Digitales - Numeros Flotantes en Verilog

 
Vista:

Numeros Flotantes en Verilog

Publicado por Grisel Lopez (1 intervención) el 19/01/2021 09:53:55
Ayuda POR FAVOR
Necesito hacer una unidad aritmética con números flotantes en verilog, pero no sé cómo establecer los números del tipo flotante en verilog. Ya investigue en todos lados y dice que no hay como tal una librería que tenga verilog para declarar valores de tipo flotante, pero debe haber una forma de el programa lo entienda como operaciones de tipo flotante y arroje el resultado de la misma forma.
POR FAVOR ME URGE SABER SI EXISTE UNA MANERA.
GRACIASS
Por cierto uso ModelSim para la simulación del mismo.
Valora esta pregunta
Me gusta: Está pregunta es útil y esta claraNo me gusta: Está pregunta no esta clara o no es útil
0
Responder
Imágen de perfil de Alejandro

Unidad aritmética con números flotantes en Verilog

Publicado por Alejandro (117 intervenciones) el 29/08/2023 18:52:43
En Verilog, el lenguaje está diseñado principalmente para trabajar con valores enteros y señales digitales, lo que significa que no hay un tipo de dato nativo para números de punto flotante como en lenguajes de programación de alto nivel. Sin embargo, es posible simular operaciones aritméticas con números de punto flotante utilizando una representación basada en enteros y realizando ajustes en el nivel de bits. Aquí tienes una idea general de cómo podrías abordar esto:

1. Representación de punto flotante en bits: Los números de punto flotante se componen generalmente de tres partes: el signo, el exponente y la mantisa. Puedes representar estos componentes en Verilog utilizando enteros y bits.

2. Operaciones aritméticas: Realiza las operaciones aritméticas (suma, resta, multiplicación, división, etc.) en la representación basada en bits de los números de punto flotante.

3. Conversión: Debes implementar lógica para convertir los resultados de vuelta a la representación de punto flotante después de realizar las operaciones aritméticas.

Ten en cuenta que este enfoque puede ser complejo y propenso a errores, y no es tan eficiente como trabajar con números de punto flotante en lenguajes diseñados específicamente para ellos, como C++ o Python. Además, la precisión y el rango de representación pueden ser limitados dependiendo de cómo decidas implementar la representación de punto flotante en bits.

Dado que estás utilizando ModelSim para la simulación, también puedes considerar buscar bibliotecas o módulos de terceros que puedan ayudarte a simular operaciones con punto flotante en Verilog. Aunque estos módulos no serían parte del lenguaje Verilog estándar, podrían proporcionar las funcionalidades que necesitas.

En resumen, mientras que Verilog no tiene un tipo de dato nativo para números de punto flotante, puedes simular operaciones con ellos utilizando representaciones basadas en bits y lógica personalizada. Sin embargo, esto puede ser complicado y puede que desees explorar otras opciones si la precisión y la eficiencia son cruciales para tu proyecto.
Valora esta respuesta
Me gusta: Está respuesta es útil y esta claraNo me gusta: Está respuesta no esta clara o no es útil
0
Comentar