Circuitos Digitales - VHDL

 
Vista:

VHDL

Publicado por Bender (1 intervención) el 15/05/2006 08:42:14
Hola a tod@s !!
Alguien programa en VHDL??

Yo llevo poco tiempo haciendolo y me gustaría q alguien pudiera asesorarme en un problema q me ha salido practicando.

Estoy programando con Xilinx ISE 8.1i y al sintetizar un programa "bastante sencillo" me da un error q no soy capaz de solucionar, y me gustaría saber si alguien podría ayudarme u orientarme hacia una posible solucción.

Tengo una entidad en la que llamo a dos componentes definidos en otras entidades,
la salida de uno de ellos es la entrada del otro,
y por separado los dos componentes se sintetizan sin ningún problema.
Pero al intentarlo todo junto recibo este error:

ERROR:Xst:2035 - Port <clk> has illegal connection. Port is connected to input buffer and following ports:
Port C of instance GENERADORE/Vm_sine_1/BU14 in unit GENERADORE/Vm_sine_1 with type FDE
CPU : 60.42 / 61.38 s | Elapsed : 61.00 / 62.00 s

"GENERADORE" es el nombre de mi primer componente ( cuya salida es la entrada del otro ), y como ya he comentado antes este componente se sintetiza y se implementa sin problemas cuando va solo,
No es muy raro??

He intentado entender lo q sucede leyendo la explicación en la "ayuda a errores" q contiene el programa y preguntando a más gente pero no consigo dar con la solucción.

Agradeceria si alguien pudiera ayudarme
ADIOS!! y gracias:-)
Valora esta pregunta
Me gusta: Está pregunta es útil y esta claraNo me gusta: Está pregunta no esta clara o no es útil
0
Responder

cronometro

Publicado por victor leonardo (2 intervenciones) el 19/06/2006 02:22:39
necesito el diseño de un cronometro que trabaje con el CI 74192 que cuente horas, minutos y segundos y lo tienes te agradeceria mucho
Valora esta respuesta
Me gusta: Está respuesta es útil y esta claraNo me gusta: Está respuesta no esta clara o no es útil
0
Comentar

RE:cronometro

Publicado por felipe (6 intervenciones) el 19/06/2006 16:56:55
holas nose si me puedes mandar como costruyo el cronometro

y no se si me puedes man dar otros proyectos

soy de bolivia

porfa manda melos

chauuu
Valora esta respuesta
Me gusta: Está respuesta es útil y esta claraNo me gusta: Está respuesta no esta clara o no es útil
0
Comentar

RE:cronometro

Publicado por jenny (3 intervenciones) el 21/06/2009 06:06:52
Necesito acer un cronometro q kuente horas, minutos y segundos pero en la simulacion del programa no puedo hacer kambiarlos minutos deakerdo a los segundos podrias mandarme la programacion para hecharle un ojo? d paso yo mando la mia porfavor y gracias
Valora esta respuesta
Me gusta: Está respuesta es útil y esta claraNo me gusta: Está respuesta no esta clara o no es útil
0
Comentar

RE:cronometro digital

Publicado por yane (1 intervención) el 31/10/2006 22:37:11
necesito para hcer un proyecto gracias
Valora esta respuesta
Me gusta: Está respuesta es útil y esta claraNo me gusta: Está respuesta no esta clara o no es útil
0
Comentar

RE:cronometro digital

Publicado por diana fernanda (1 intervención) el 02/02/2007 13:29:31
hola sera que me pueden ayudar con la forma de hacer un cronometro para que se visualice en displays por fa =)
Valora esta respuesta
Me gusta: Está respuesta es útil y esta claraNo me gusta: Está respuesta no esta clara o no es útil
0
Comentar

RE:cronometro digital

Publicado por jenny (3 intervenciones) el 21/06/2009 06:06:01
Necesito acer un cronometro q kuente horas, minutos y segundos pero en la simulacion del programa no puedo hacer kambiarlos minutos deakerdo a los segundos podrias mandarme la programacion para hecharle un ojo? d paso yo mando la mia porfavor y gracias
Valora esta respuesta
Me gusta: Está respuesta es útil y esta claraNo me gusta: Está respuesta no esta clara o no es útil
0
Comentar

RE:cronometro digital

Publicado por diego (1 intervención) el 16/01/2010 03:52:25
En este enlace encontraran un esquema de un cronometro digital
Valora esta respuesta
Me gusta: Está respuesta es útil y esta claraNo me gusta: Está respuesta no esta clara o no es útil
0
Comentar

flip-flop con cronómetro

Publicado por alex (1 intervención) el 02/11/2006 04:08:28
Necesito un circuito del flip flop S-C biestable con cronómetro, para implementarlo en el multisim, por favor lo necesito hasta el domingo
Valora esta respuesta
Me gusta: Está respuesta es útil y esta claraNo me gusta: Está respuesta no esta clara o no es útil
0
Comentar

RE:flip-flop con cronómetro

Publicado por GIOVANNI (2 intervenciones) el 15/04/2008 19:04:43
flip FLOP
Valora esta respuesta
Me gusta: Está respuesta es útil y esta claraNo me gusta: Está respuesta no esta clara o no es útil
0
Comentar

RE:flip-flop con cronómetro

Publicado por GIOVANNI (2 intervenciones) el 15/04/2008 19:05:32
BIESTABLE CON CRONOMETRO
Valora esta respuesta
Me gusta: Está respuesta es útil y esta claraNo me gusta: Está respuesta no esta clara o no es útil
0
Comentar

RE:flip-flop con cronómetro

Publicado por Teodoro Hernandez Rodriguez (1 intervención) el 16/04/2008 02:23:40
TEORIA Y PROBLEMAS
Valora esta respuesta
Me gusta: Está respuesta es útil y esta claraNo me gusta: Está respuesta no esta clara o no es útil
0
Comentar

RE:flip-flop con cronómetro

Publicado por rafaela de la sancha (1 intervención) el 08/05/2008 23:58:04
ne cesito saber que es un flip flop con cronometro
Valora esta respuesta
Me gusta: Está respuesta es útil y esta claraNo me gusta: Está respuesta no esta clara o no es útil
0
Comentar

RE:flip-flop con cronómetro

Publicado por KARLA (1 intervención) el 09/05/2008 17:34:41
como funciona y cuales son sus tablas de verdad
Valora esta respuesta
Me gusta: Está respuesta es útil y esta claraNo me gusta: Está respuesta no esta clara o no es útil
0
Comentar

RE:VHDL

Publicado por Ktr3HP (1 intervención) el 19/08/2006 02:35:46
La verdad es que yo uso mas ALTERA pero espero que esto te pueda ayudar trata de cambiar el puero de esa salida, a veces da ese error cuando intentamos asignar un pin prohibido, es decir que ya tiene una funcion especifica dentro del chip, otra cosa que puedes mirar es que estes definiendo correctamente la salida de esa etapa, como signal y no como output.
Valora esta respuesta
Me gusta: Está respuesta es útil y esta claraNo me gusta: Está respuesta no esta clara o no es útil
0
Comentar

RE:VHDL

Publicado por jenny (3 intervenciones) el 21/06/2009 06:03:17
hola yo estoy haciendo lo mismo pero no sale la parte de los segundos no llega la señal para cambiar los minutos y ya me konfundi podrias mandarme tu programacion para hecharle un ojo??
Valora esta respuesta
Me gusta: Está respuesta es útil y esta claraNo me gusta: Está respuesta no esta clara o no es útil
0
Comentar

RE:VHDL lib flotante

Publicado por lgrisales (1 intervención) el 25/03/2007 22:39:06
Que tal... agradeceria al que me pudiera ayudar con el manejo de librerias flotantes en max max plus II version 10.2
gracias
Valora esta respuesta
Me gusta: Está respuesta es útil y esta claraNo me gusta: Está respuesta no esta clara o no es útil
0
Comentar

RE:VHDL

Publicado por AYUDA (2 intervenciones) el 10/04/2007 03:04:58
AYUDA VHDL

POR FIS
NECESITO HACER UN CRONOMETRO EN VHDL PARA MOSTRAR POR PANTALLA SI ALGUIEN LO PUEDE HACER LE AGRADECERIA MUCHO QUE ME LO MANDARA
Valora esta respuesta
Me gusta: Está respuesta es útil y esta claraNo me gusta: Está respuesta no esta clara o no es útil
0
Comentar

RE:VHDL

Publicado por javier (2 intervenciones) el 07/10/2007 09:01:35
En el foro de este sitio encontré información de vhdl

http://electronica.phpnet.us/foro/phpBB2/viewtopic.php?t=4
Valora esta respuesta
Me gusta: Está respuesta es útil y esta claraNo me gusta: Está respuesta no esta clara o no es útil
0
Comentar

RE:VHDL

Publicado por Ernesto (1 intervención) el 20/10/2007 17:40:14
Les comento que acá se inició un foro interesante sobre VHDL, y también hay foros de electrónica en general

pueden visitarlo

http://electronica.phpnet.us/foro/phpBB2/viewtopic.php?t=4

Saludos
Valora esta respuesta
Me gusta: Está respuesta es útil y esta claraNo me gusta: Está respuesta no esta clara o no es útil
0
Comentar

RE:VHDL

Publicado por jose luis (1 intervención) el 10/01/2008 12:59:51
por favor alguien puede programarme en vhdl, para el xlim ese k no tengo ni idea, es para una asignatura de micro k el tio no explica y no tengo ni idea, tengo k hacer un biestable jk a partir de uno r-s o d. gracias un saludo.
Valora esta respuesta
Me gusta: Está respuesta es útil y esta claraNo me gusta: Está respuesta no esta clara o no es útil
0
Comentar

RE:VHDL

Publicado por Jose (1 intervención) el 29/01/2009 17:16:36
Para conectar dos componentes debes hacerlo mediante una entidad superior y realizar las conexiones de entrada o salida mediante señales de arquitectura. Es prohibido conectar una salida a una entrada en forma directa en el lenguaje. espero solucione tu problema
Valora esta respuesta
Me gusta: Está respuesta es útil y esta claraNo me gusta: Está respuesta no esta clara o no es útil
0
Comentar

RE:VHDL

Publicado por ulisexxx88 (1 intervención) el 29/07/2009 06:37:52
ayuda con esta pregunta http://mx.answers.yahoo.com/question/index;_ylt=AuXo_5216WpjRSK4rurM3ouY8gt.;_ylv=3?qid=20090728212325AAB8XDh
Valora esta respuesta
Me gusta: Está respuesta es útil y esta claraNo me gusta: Está respuesta no esta clara o no es útil
0
Comentar