Estadísticas del PDF: MULTIPLICADOR POR SUMAS SUCESIVAS DE DOS NÚMEROS DE CUATRO BITS CADA UNO

Imágen de pdf MULTIPLICADOR POR SUMAS SUCESIVAS DE DOS NÚMEROS DE CUATRO BITS CADA UNO

MULTIPLICADOR POR SUMAS SUCESIVAS DE DOS NÚMEROS DE CUATRO BITS CADA UNO

Publicado el 19 de Julio del 2017
2.695 visualizaciones desde el 19 de Julio del 2017
15,7 KB
1 página
Creado hace 20a (02/11/2003)
MULTIPLICADOR POR SUMAS SUCESIVAS
DE DOS NÚMEROS DE CUATRO BITS CADA

UNO.

in integer range 0 to 15;



salida: out integer range 0 to 255);


library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
entity multsuma is
port (a,b :

end multsuma;
architecture algoritmo of multsuma is
begin



end algoritmo;


USANDO EL TEST BENCH GENERAMOS LA ONDA DE ENTRADA


process (a,b)
variable temporal: integer :=0;
begin
tempo...

59 visualizaciones durante los últimos 90 días


5
0