PDF de programación - Hardware libre: clasificación y desarrollo de hardware reconfigurable en entornos GNU/Linux

Imágen de pdf Hardware libre: clasificación y desarrollo de hardware reconfigurable en entornos GNU/Linux

Hardware libre: clasificación y desarrollo de hardware reconfigurable en entornos GNU/Linuxgráfica de visualizaciones

Actualizado el 28 de Julio del 2017 (Publicado el 14 de Enero del 2017)
1.191 visualizaciones desde el 14 de Enero del 2017
323,7 KB
13 paginas
Creado hace 20a (06/09/2003)
Hardware libre: clasicacin y desarrollo de hardware

recongurable en entornos GNU/Linux

Ivan GonzÆlez, Juan GonzÆlez, Francisco Gmez-Arribas

Escuela PolitØcnica Superior, Universidad Autnoma de Madrid, Spain,

{Ivan.Gonzalez, Juan.Gonzalez, Francisco.Gomez}@ii.uam.es

http://www.ii.uam.es

6 de Septiembre de 2003

Resumen

En este artculo se aborda el hardware libre, proponiØndose deniciones en funcin de su naturaleza.
Se estudian los dos tipos, el estÆtico, que tiene existencia fsica, y el recongurable, descrito mediante
lenguajes HDL, centrÆndose en los criterios para considerarlos libres. En la primera parte se revisan
y amplan las ideas del proyecto hardware abierto de Microbtica, dando una denicin de hardware
estÆtico libre y proponiendo una clasicacin segœn los programas de diseæo empleados. En la segunda
se aborda el hardware recongurable, centrÆndose en las herramientas de desarrollo para GNU/Linux.
Finalmente se muestran ejemplos de sistemas diseæados enteramente bajo GNU/Linux, tanto de hardware
estÆtico como recongurable.

Introduccin

El software libre[1] ofrece al usuario cuatro libertades: libertad de uso, de estudio y modicacin,
de distribucin, y de redistribucin de las mejoras. Existen licencias que las garantizan y que dan una
cobertura legal, como por ejemplo la GPL[2].

El hardware abierto o libre1 toma estas mismas ideas para aplicarlas en su campo. Es una propuesta
casi tan antigua como la del software libre[3], sin embargo su empleo no es tan directo. Compartir diseæos
hardware es mÆs complicado. No hay una denicin exacta (se pueden encontrar referencias a distintos
artculos en [4]). Incluso el propio Richard Stallman arma[5] que las ideas del software libre se pueden
aplicar a los cheros necesarios para su diseæo y especicacin (esquemas, PCB, etc), pero no al circuito
fsico en s.

Al no existir una denicin clara de hardware abierto, cada autor lo interpreta a su manera. Se han

creado licencias[6], algunas de las cuales estÆn todava en desarrollo.

Para abordar el estudio comenzaremos estableciendo una primera clasicacin, segœn su naturaleza.

Existen los siguientes tipos:

Hardware estÆtico. Conjunto de elementos materiales de los sistemas electrnicos. Tiene una exis-
tencia fsica (se puede tocar). Esta propiedad no la tiene el software, por lo que surgen una serie
de problemas que se discuten en el apartado 1.1. En la primera parte de este artculo revisaremos
y ampliaremos las ideas del proyecto hardware abierto[7], comenzado en 1997 por Microbtica
S.L. Se propone una denicin prÆctica de hardware estÆtico libre y se establece una clasicacin de
los diseæos, en funcin de las herramientas de desarrollo empleadas, que limitan en mayor o menor
medida las libertades ofrecidas por el autor.

1En este artculo consideraremos que hardware abierto y hardware libre son sinnimos, y los utilizaremos indistintamente. Sin
embargo, existe ambigedad en la lengua Inglesa. El tØrmino free hardware puede signicar tanto hardware libre como hardware
gratuito. Esto œltimo es incorrecto.

1

Hardware recongurable. Es el que se describe mediante un lenguaje HDL, (Hardware Description
Language, lenguaje de descripcin hardware) y que permite especicar con todo detalle su estruc-
tura y funcionalidad. A partir de este cdigo se generan unos cheros de conguracin (bitstreams)
para que los dispositivos del tipo FPGA se reconguren, funcionando segœn lo descrito. En la segun-
da parte se describe con mÆs detalle este hardware y cmo lo podemos desarrollar en plataformas
GNU/Linux, utilizando el lenguaje VHDL y las FPGAs de la empresa Xilinx[8].

Dada su diferente naturaleza, al hablar de hardware libre hay que especicar de quØ tipo de hardware se
estÆ hablando. Para hacer que el hardware recongurable sea libre, slo hay que aplicar una licencia GPL
a su cdigo. Sin embargo, no estÆ tan claro quØ se entiende por hardware libre cuando nos referimos al
hardware estÆtico.

Parte I
Hardware estÆtico

A lo largo de esta parte, al hablar de hardware nos estamos reriendo al hardware estÆtico.

1. Denicin
1.1. Los problemas del hardware abierto

No se pueden aplicar directamente las cuatro libertades del software libre al hardware, dada su diferente

naturaleza. Uno tiene existencia fsica, el otro no. Aparecen una serie de problemas:

1. Un diseæo fsico es œnico. Si yo construyo una placa, es œnica. Para que otra persona la pueda usar,
bien le dejo la ma o bien se tiene que construir una igual. La comparticin tal cual la conocemos en
el mundo del software NO ES POSIBLE.

2. La comparticin tiene asociado un coste. La persona que quiera utilizar el hardware que yo he di-
seæado, primero lo tiene que fabricar, para lo cual tendrÆ que comprobar los componentes necesarios,
construir el diseæo y vericar que se ha hecho correctamente. Todos esto tiene un coste.

3. Disponibilidad de los componentes. ¿EstÆn disponibles los chips?. Al intentar fabricar un diseæo
nos podemos encontrar con el problema de la falta de material. En un pas puede no haber problema,
pero en otro puede que no se encuentran.

Una primera propuesta para denir el hardware libre es la siguiente:

El hardware libre ofrece las mismas cuatro libertades que el software libre, pero aplicadas

a los planos del hardware.

Si en el software hablamos de fuentes, aqu hablamos de planos. A partir de ellos podemos fabricar el
hardware. El proceso de construccin tiene asociado un coste, que no existe en el caso del software. Sin
embargo los planos estÆn disponibles para que cualquiera los pueda usar, modicar y distribuir.

1.2. Tipos de planos en electrnica

Existen tres tipos de planos, o de cheros, que describen nuestro diseæo:

EsquemÆtico: Indica los componentes lgicos y las seæales que se conectan entre ellos, pero no nos
dice nada de cmo es fsicamente la placa.

2

Esquematico

PCB

Figura 1: Un trozo de un esquemÆtico y un PCB

Circuito Impreso (PCB, Printed Circuit Board). Indica el lugar fsico en el que situar los componen-
tes, sus dimensiones, encapsulados y quØ caminos siguen las pistas para unir sus pines. Nos describe
con detalle cmo es fsicamente la placa y las dimensiones que tiene.

Fichero de fabricacin (GERBER). Contiene toda la informacin necesaria para que se puedan
fabricar los PCBs en la industria. Este es un chero para las mÆquinas. (Sera algo similar a lo que
es el formato Postcript para las impresoras).

Para diseæar la placa usamos los esquemÆticos y el PCB. El chero GERBER es el que obtenemos como
resultado y nos permite realizar una fabricacin industrial de nuestro PCB. Este chero puede no haberlo
generado el diseæador. A veces es el propio fabricante el que lo genera, a partir de la informacin que hay
en el PCB.

1.3. Propuesta de denicin

Teniendo en cuenta los tres planos que necesitamos en electrnica, podemos denir el hardware abierto

de la siguiente manera:

Un diseæo se considera hardware abierto si ofrece las 4 libertades del software libre en el

esquemÆtico, PCB y chero para la fabricacin (este œltimo puede no estar disponible).

El chero de fabricacin puede no estar disponible si se trata un prototipo, del que no se ha hecho una
tirada industrial. O tambiØn puede ocurrir que se haya fabricado industrialmente, pero a partir del PCB. En
este caso el autor dispone de unos fotolitos impresos, pero no de un chero electrnico.

2. Clasicacin
2.1. El formato de los planos

Para el chero de fabricacin existe un estÆndar industrial, denominado GERBER, muy extendido y

que estÆ perfectamente documentado. Por ejemplo con el programa libre gerbv[9] se pueden visualizar.

Desgraciadamente, no existe un estÆndar para el formato de los esquemÆticos y el PCB. Cada
aplicacin utiliza el suyo propio. Y prÆcticamente todas las herramientas de diseæo electrnico (EDA) son
propietarias, con formatos propietarios.

Hay un proyecto en marcha, gEDA[10] (GPL+EDA), que tiene como objetivo desarrollar herramientas
EDA bajo licencia GPL. Es un proyecto muy prometedor pero al da de hoy estÆ en desarrollo y todava no
estÆ a la altura de los programas propietarios, usados por la mayora de diseæadores hardware. No obstante
es usable.

3

Tarjeta CT6811 (PPX)

Tarjeta JPS (MML)

Figura 2: Fotos de las placas libres CT6811 y JPS

Actualmente, y desde un punto de vista prÆctico, los diseæos se hacen usando programas propieta-
rios, como el Orcad, Tango, Eagle, Protel, etc, que utilizan formatos propietarios, un impedimento para
su comparticin. Slo podrÆn ver/modicar ese diseæo aquellos usuarios que dispongan de la licencia de
ese programa propietario.

Nuestra propuesta, mÆs orientada hacia la prÆctica que hacia lo legal, es que sea el autor el que
decida si su diseæo es libre o no, con independencia del formato empleado. Obviamente, si es un
formato propietario, las libertades que nos ofrece el autor se ven restringidas.

Y es precisamente esta restriccin la que nos va a servir para establecer una clasicacin de los diseæos

libres.

2.2. Clasicacin
  • Links de descarga
http://lwp-l.com/pdf1362

Comentarios de: Hardware libre: clasificación y desarrollo de hardware reconfigurable en entornos GNU/Linux (0)


No hay comentarios
 

Comentar...

Nombre
Correo (no se visualiza en la web)
Valoración
Comentarios...
CerrarCerrar
CerrarCerrar
Cerrar

Tienes que ser un usuario registrado para poder insertar imágenes, archivos y/o videos.

Puedes registrarte o validarte desde aquí.

Codigo
Negrita
Subrayado
Tachado
Cursiva
Insertar enlace
Imagen externa
Emoticon
Tabular
Centrar
Titulo
Linea
Disminuir
Aumentar
Vista preliminar
sonreir
dientes
lengua
guiño
enfadado
confundido
llorar
avergonzado
sorprendido
triste
sol
estrella
jarra
camara
taza de cafe
email
beso
bombilla
amor
mal
bien
Es necesario revisar y aceptar las políticas de privacidad